Lithographie en immersion

En lithographie par immersion, le rayonnement ultraviolet traverse un système de lentilles (1.) et un film liquide mince (2.; ici de l'eau) avant d'atteindre la résine photosensible au sommet de la plaquette (3.).

La lithographie par immersion est une technique dans le processus de production de la microélectronique pour une image plus nette dans le motif photolithographique . La technologie utilise le même principe que la microscopie à immersion , mais elle ne sert pas à observer un objet, mais plutôt la projection réduite d'une structure sur un photomasque dans une couche de résine photosensible , voir photolithographie (technologie des semi-conducteurs) . Il s'agit d'une amélioration de l' exposition de projection , dans laquelle l'air dans l'espace entre la dernière lentille et la surface de la plaquette est remplacé par un liquide d'immersion (liquide transparent avec l' indice de réfraction le plus élevé possible ). Cela permet, par rapport à des systèmes de conception similaires sans milieu d'immersion, la production de structures plus petites, car une plus grande ouverture numérique (NA) et une plus grande profondeur focale (Engl. Depth of focus DOF) peuvent être obtenues.

L'introduction de la lithographie par immersion a permis de continuer à utiliser les systèmes de lithographie existants (combinaison de système de lentilles , photomasques, photoresists, etc.) à base de lasers excimères ArF - également appelés lithographie à 193 nm d'après leur longueur d'onde - et de produire toujours des structures plus petites. Cela signifiait que l'introduction d'alternatives coûteuses qui n'étaient pas encore adaptées à la production industrielle de masse, telles que l' EUV ou la lithographie par faisceau d'électrons , pouvait être reportée pour le moment. Selon les connaissances actuelles, c'est le dernier dans l'évolution des systèmes de lithographie qui est basé sur la réfraction .

La lithographie par immersion est la technique la plus courante pour la fabrication de circuits intégrés avec des tailles de structure de 28 nm à 10 nm dans la production industrielle de masse et représente ainsi une technologie clé pour la fabrication de produits microélectroniques tels que les principaux processeurs d' ordinateurs et les systèmes sur puce. de Smartphones , etc.

histoire

L'amélioration de la résolution des systèmes optiques grâce à l'utilisation de liquides d'immersion est connue depuis longtemps dans le domaine de la microscopie , voir Immersion (microscopie) . L'indice de réfraction plus élevé ( n  >  n air  ≈ 1) par rapport à l'air entraîne un agrandissement de l'ouverture numérique des systèmes optiques et donc une plus grande profondeur d'image ainsi qu'une amélioration de la résolution. L'utilisation des techniques d'immersion en photolithographie a été envisagée pour la première fois à la fin des années 1980 et montrée au microscope à immersion, démontrée pour les systèmes de laque modernes à la fin des années 1990, et sa mise en œuvre technique a été poursuivie de plus près depuis le début des années 2000. . Le point de départ a été la prise de conscience que les systèmes conventionnels de lithographie « sèche », c'est-à-dire les systèmes conventionnels sans support d'immersion, ne seront plus en mesure de fournir les résolutions requises dans un avenir prévisible. Dans ce contexte, la lithographie par immersion était un candidat prometteur pour poursuivre la mise à l' échelle des circuits microélectroniques.

Les premières expériences de démonstration de lithographie par immersion ont été présentées dans les années suivantes (2003/2004) pour les systèmes laser HeCd , Nd : YAG et ArF (correspondant respectivement aux longueurs d'onde 442 nm, 213 nm et 193 nm). A cette époque, les scanners ArF « secs » d'une longueur d'onde de 193 nm (lithographie 193 nm) étaient déjà utilisés dans la production industrielle et le développement des scanners ArF à immersion (également appelé lithographie 193i) progressait rapidement. Entre autres, les ajustements techniques relativement mineurs qui ont été nécessaires pour convertir les systèmes « secs » existants en systèmes d'immersion y ont contribué. Bien que cela ait nécessité une refonte partielle des systèmes correspondants, l'effort a été nettement inférieur à l'introduction de systèmes laser à excimère au fluor (longueur d'onde de 157 nm) ou de procédés alternatifs tels que la lithographie EUV , aux rayons X ou au faisceau d'électrons , car les photoresists et les optiques laser ne sont plus utilisées peuvent être utilisées. En 2004, le premier scanner 193i (ASML AT1150i, NA = 0,75) adapté à la production en série a été livré à Albany NanoTech. L'utilisation des scanners à immersion, déjà avec le NA de 1,3-1,35 pratiquement réalisable pour l'eau, a commencé avec l'introduction du nœud technologique 55 nm par plusieurs fabricants de mémoires flash . Les coûts des systèmes industriels de lithographie par immersion dépendent fortement du fabricant : en 2010, ils s'élevaient à environ 30 millions de dollars pour les systèmes NSR-S620D de Nikon et à 55 millions de dollars pour les systèmes TwinScan-NXT: 1950i d'ASML.

Alternative : photolithographie 157 nm

Pendant longtemps, la conversion de la photolithographie vers des systèmes aux longueurs d'onde de plus en plus courtes a été un facteur essentiel pour améliorer la résolution des images. Ainsi, avant l'introduction de la lithographie par immersion 193 nm, des recherches ont également été menées sur des systèmes « secs » avec une longueur d'onde plus courte que le laser excimer ArF (longueurs d'onde 193 nm) déjà utilisé. Un candidat pour cela était le laser excimer F 2 avec une longueur d'onde de 157,67 nm. Avec ce système, des résolutions plus élevées auraient été possibles même sans les matériaux à indice élevé requis dans un système d'immersion. La conversion de la lithographie 157 nm, qui jusque dans les années 2003 était encore considérée comme un vrai candidat pour le remplacement/successeur des systèmes 193 nm alors secs, s'est avérée plus difficile que prévu. En revanche, la lithographie par immersion 193 nm a fait des avancées décisives. Avec la décision d'Intel (alors et maintenant l'un des moteurs technologiques de la technologie des semi-conducteurs) et d'autres fabricants de semi-conducteurs et de systèmes pour la lithographie par immersion 193 nm avec de l'eau ultrapure et contre une exposition à 157 nm, le développement de la photolithographie 157 nm a été considérablement ralenti et Avec l' édition ITRS en 2005 au plus tard , cette technologie n'était plus considérée comme une alternative ou un successeur à la photolithographie 193 nm (immersion).

L'un des principaux défis du développement de la technologie de la photolithographie 157 nm était la recherche de nouvelles résines photosensibles et de nouveaux matériaux pour lentilles. La recherche de nouvelles résines photosensibles adaptées à la technologie 157 nm a été entravée principalement par le comportement d'absorption des molécules organiques généralement utilisées. Les groupes phénol et acide carboxylique, par exemple, absorbent très bien l'ultraviolet avec une longueur d'onde de 157 nm, de sorte que le rayonnement ne peut pas pénétrer assez profondément dans la couche de résine photosensible. Le problème de l'absorption excessive existe également avec les matériaux (fortement réfringents) pour les lentilles et les liquides d'immersion. La longueur d'onde du rayonnement UV de 157 nm se situe au bord de la fenêtre de transmission du verre de quartz spécial généralement utilisé (Suprasil 3301/3302). Cela entraîne entre autres un échauffement accru pendant le fonctionnement, ce qui à son tour a un effet négatif sur le système optique et la précision de l'imagerie. Les cristaux de CaF 2 présentent une transmission suffisante à 157 nm . Cependant, la production et le traitement complexes de cristaux de grand format conduisent à de faibles rendements et à des coûts comparativement élevés. Dans le cas de liquides d'immersion hautement réfringents, l'augmentation du coefficient d'absorption a un effet encore plus important. Par exemple, pour les perfluoropolyéthers (PFPE) souvent préférés - les PFPE sont suffisamment inertes chimiquement, non toxiques, non corrosifs et largement adaptés aux salles blanches - le coefficient d'absorption passe de 0,1 à 0,6 cm -1 à 193 nm à 6 à 19 cm -1 à 157 nm. Quelques PFPE offrent cependant un coefficient d'absorption suffisamment faible inférieur à 1 cm -1 à 157 nm. Cependant, le coefficient d'absorption de ces PFPE augmente significativement après des doses de rayonnement plus élevées, c'est-à-dire qu'ils ne sont pas suffisamment résistant au rayonnement laser. Par conséquent, jusqu'à présent, aucun matériau approprié n'est disponible pour une utilisation industrielle.

Contexte et fonctionnalité

L'utilisation d'un milieu d'immersion permet une ouverture numérique (NA) plus élevée et donc une amélioration de la résolution. Avec de l'eau, un angle d'incidence de 55° peut être atteint au niveau de l'interface lentille, lentille et eau. Lors de l'utilisation de l'air, la limite pratiquement réalisable est déjà d'environ 35 °

Afin de comprendre le fonctionnement d'un système de lithographie par immersion, quelques principes de base sont nécessaires pour déterminer la résolution d'un système optique. La résolution minimale réalisable d' un système optique peut être décrite par l'équation suivante :

avec le coefficient de résolution (une constante de processus), la longueur d'onde sous vide de la lumière laser utilisée pour l'exposition , l'indice de réfraction du milieu incident et l'angle d'ouverture de la lentille . Le produit est également connu sous le nom d' ouverture numérique (NA) de l'objectif d'imagerie.

Le coefficient dépend du procédé d'exposition utilisé et est déterminé par les conditions d'exposition, la technologie du masque et les propriétés de la résine photosensible. Grâce à de nombreuses améliorations (chimie des peintures, etc.) au cours des dernières décennies, cette valeur a été réduite d'environ 0,8 à 0,38, améliorant ainsi la résolution des systèmes de photolithographie. De plus, la résolution minimale était dans le passé en réduisant la longueur d'onde d' exposition (h des raies d'émission et i une lampe à vapeur de mercure sur KrF - laser excimer nm aux lasers excimer ArF, soit de 434, 365 nm, 248 nm à nm vers 193), l'amélioration des propriétés de la résine photosensible ou l'utilisation de nouvelles techniques d'exposition, par ex. B. exposition oblique obtenue. Cette tendance ne pouvait plus se poursuivre après l'introduction du laser excimère ArF, car une nouvelle réduction de la longueur d'onde ( laser excimère F 2 , 157 nm) atteint de nouvelles limites. Le principal obstacle est que dans cette gamme spectrale, de nombreux matériaux précédemment utilisés (lentilles, résines photosensibles, photomasque , pellicules , etc.) ne sont plus suffisamment transparents. Le développement de la photolithographie 157 nm nécessite donc la recherche et l'introduction de nouveaux matériaux aux propriétés suffisamment bonnes. Cependant, cette recherche et les modifications relativement importantes apportées aux concepts de système existants sont complexes et donc coûteuses. Pour cette raison, des méthodes alternatives, par ex. B. la lithographie par faisceau d'électrons , ainsi que d'autres "astuces techniques" sont recherchées avec lesquelles une meilleure résolution peut être obtenue à l'échelle industrielle.

L'une de ces "astuces techniques" consiste à modifier l'ouverture numérique du système - d'autres sont par exemple la structuration multiple - car la capacité d'un système optique à imager des structures est indirectement proportionnelle à l'ouverture numérique des dispositifs d'imagerie (voir formule ci-dessus). Le NA pour les systèmes de photolithographie "secs" est au maximum de 1 - la valeur limite théorique due à l'indice de réfraction de l'air, les valeurs de crête réelles sont d'environ 0,95. Puisqu'il est impossible d'augmenter davantage la résolution par une réfraction plus forte (au niveau de l'objectif), une augmentation de l'AN ne peut être obtenue qu'en échangeant le milieu de couplage, l'air ( n air  ≈ 1), entre la dernière lentille (actuellement principalement fabriquée de quartz ) et la plaquette revêtue de résine photosensible peut être réalisée par un milieu d'immersion avec un indice de réfraction plus élevé. Ce changement a un effet très efficace sur la résolution, puisque la longueur d'onde du laser (dans un vide de 193 nm) dans l'eau est d'environ 134 nm.

Représentation schématique du "cône de faisceau" avec la même profondeur d'imagerie (même angle d'incidence dans la résine photosensible). On voit qu'un angle d'incidence plus petit du milieu d'immersion est nécessaire pour la même profondeur d'imagerie.

Un autre paramètre important en photolithographie est la profondeur de champ (Engl. Depth of focus DOF). Il marque la zone (dans la direction de l'axe optique du système d'exposition) dans laquelle la mise au point est suffisante pour une image nette. Etant donné qu'une exposition réussie doit avoir lieu sur toute l'épaisseur de la couche de résine photosensible, la profondeur de formation d'image doit donc être suffisamment grande pour l'épaisseur de la couche de résine photosensible et l'irrégularité de la surface de la tranche. Sinon, des problèmes d'imagerie se posent, par exemple qu'au dessus ou en dessous du plan image les structures développées sont nettement plus petites et il en résulterait une sorte de « surplomb » ou un masque mal ouvert.

Tout comme la résolution, la profondeur d'imagerie dépend également de la longueur d'onde utilisée, de l'ouverture numérique utilisée et du milieu entre la lentille et la résine photosensible. Pour un système de projection, la profondeur de l'image peut généralement être calculée par (voir aussi) :

Pour les petits angles, cela peut être simplifié comme suit :

On constate que pour une même longueur d'onde et un même milieu, la profondeur d'imagerie diminue avec l'augmentation de l'ouverture numérique. C'était un compromis nécessaire avec les ajustements précédents des systèmes de lithographie via la longueur d'onde utilisée. En termes physiques, cet effet peut s'expliquer de manière simplifiée par le fait qu'avec l'augmentation de NA, les composantes de la fréquence spatiale dans la direction z se déphasent rapidement et donc s'étalent lorsque l'on s'éloigne du plan focal.

En résumé, on peut dire que l'utilisation d'un milieu d'immersion améliore considérablement les propriétés d'imagerie par rapport à un système sec similaire. Même avec de l' eau ultrapure (indice de réfraction de 1,47 à une longueur d'onde de 193 nm) comme milieu d'immersion, une amélioration de la résolution d'environ 30 à 40 % (selon les matériaux utilisés) peut être obtenue. En comparaison, le passage des lasers excimères ArF aux lasers F 2 permettrait une amélioration d'un peu moins de 19%. En plus de la résolution, la profondeur d'imagerie ou la tolérance dans la régularité de la topographie de la plaquette est améliorée d' environ un facteur 2 (pour les systèmes avec la même NA). Néanmoins, l'imagerie optique des structures sur un photomasque dans une couche de résine photosensible est toujours un compromis entre résolution et profondeur d'imagerie, de sorte que les deux avantages de la lithographie par immersion ne peuvent jamais être exploités en même temps.

structure technique

Structure schématique d'un système de lithographie par immersion avec mouillage local par l'eau comme milieu d'immersion entre la dernière lentille et la plaquette. La position de l'eau sous la lentille est maintenue par un flux continu et un rideau d'air au bord.

La structure technique des systèmes de lithographie par immersion modernes correspond essentiellement à celle des systèmes de photolithographie sèche à base de lasers excimères ArF (193 nm). Cela comprend la source laser, un système de lentilles condenseur, un porte-masque, des optiques de focalisation et la table d'échantillonnage sur laquelle la plaquette est placée. En revanche, cependant, un liquide d'immersion doit être maintenu entre la plaquette et la dernière lentille du système de lentilles. Il existe deux approches de base pour cela :

  • le mouillage de l'ensemble de la plaquette ( stage anglais immersion , c'est -à- dire l'immersion de la plaquette dans le liquide, ou wafer immersion )
  • mouillage local avec le liquide d'immersion ( livraison locale ).

Les systèmes d'aujourd'hui n'utilisent qu'un mouillage local, car ils peuvent fonctionner beaucoup plus rapidement grâce à des temps de mouillage et d'élimination plus courts. A cet effet, un réservoir d'eau est généralement constitué à proximité de la lentille. L'adaptateur associé (souvent appelé showerhead en anglais ), qui plane à seulement quelques micromètres au-dessus de la plaquette, maintient ce réservoir stable pendant l'exposition et permet à la fois un mouillage rapide et une élimination rapide du liquide. Pour que le fluide ne puisse pas s'écouler latéralement ou reste avec le mouvement de la plaquette sur la plaquette au bord de l'adaptateur est un rideau d'air fin (engl. Rideau d'air ) construit.

Modification du comportement de mouillage du liquide d'immersion avec l'augmentation de la vitesse relative entre l'échantillon et la buse. Vous pouvez voir la formation de différents angles de mouillage dans et contre la direction du mouvement.

Pour la stabilité du réservoir de liquide, la vitesse de balayage (vitesse relative plaquette/lentille) et les angles de contact dynamiques (angles de mouillage et de démouillage, aussi appelés angles de contact d'avance et de recul) sont importants. Ils influencent entre autres les éventuelles pertes de liquide dues à l'élimination du film liquide ou aux instabilités lors du mouillage. La perte de liquide due au retrait d'une gouttelette est une perte de liquide due à un mince film de liquide derrière l'adaptateur d'immersion. Ils sont souvent observés à des vitesses faibles et des systèmes avec un angle de démouillage très faible, c'est-à-dire dans le cas de l'eau sur des surfaces hydrophiles. Dans l'autre cas, la perte de fluide se produit lorsque la tension superficielle est insuffisante pour vaincre les forces d'inertie (en raison du recul du ménisque). Ces erreurs se produisent surtout à des vitesses élevées et des angles de mouillage plus élevés, dans le cas de l'eau sur des surfaces plus hydrophobes. Pour des vitesses de balayage typiques de 500 mm / s - pour un maximum de 150 plaquettes par heure et par système - l'angle de démouillage doit être compris entre 70 ° et plus. Les photoresists 193 nm typiques développés pour la lithographie sèche ont des angles de démouillage de 43 à 53 °. Pour ces raisons et d'autres, par ex. B. Protection de la couche de résine photosensible contre les attaques chimiques (voir ci-dessous), soit d'autres résines photosensibles soit des couches protectrices plus hydrophobes sont utilisées sur le dessus de la résine photosensible.

Milieu d'immersion

L'eau ultrapure ( n eau, 193 nm  1,47) est le principal liquide d'immersion utilisé depuis le début des recherches. C'est aussi le seul milieu d'immersion à ce jour qui a été utilisé dans la production industrielle. En plus de l'eau (1ère génération), d'autres liquides à indice de réfraction plus élevé (1,65-1,8) sont actuellement à l'étude. Ces liquides d'immersion de deuxième génération comprennent des liquides organiques homogènes, par exemple des perfluoropolyéthers (PFPE) ou des cycloalcanes . Un indice de réfraction de l'ordre de 1,65 correspondrait à une augmentation supplémentaire du pouvoir de résolution par rapport à l'eau d'environ 14 %. Semblable aux matériaux de lentille, une faible dépendance à la température de l'indice de réfraction (également connu sous le nom de coefficient thermo-optique d n / d T ) et un faible coefficient d'absorption (α < 0,15 cm −1 ) dans la gamme UV sont importants pour les liquides d'immersion . Sinon, il y aura des différences d'intensité entre les composantes du faisceau en fonction de la distance parcourue dans le milieu d'immersion, ainsi que des changements importants de focalisation lors d'un fonctionnement prolongé en raison des changements de température et des changements associés de l'indice de réfraction. De plus, l'absorption par le milieu d'immersion peut également conduire à des dommages dus aux rayonnements (décomposition, réactions chimiques avec la résine photosensible jusqu'à la formation de bulles). Ces effets ont un effet négatif sur le processus global et ne peuvent être corrigés que dans certaines limites. D'autres propriétés importantes sont donc également une capacité thermique élevée et une bonne conductivité thermique . Cependant, de nombreux liquides organiques ont des coefficients d'absorption élevés à une longueur d'onde de 193 et ​​157 nm en raison des électrons non liés ou des électrons dans les orbitales moléculaires . La recherche de matériaux adaptés est donc difficile.

Afin d'atteindre des indices de réfraction encore plus élevés et ainsi de rattraper d'éventuels matériaux pour lentilles à haute réfraction comme le grenat de lutétium aluminium (LuAG, indice de réfraction 2,14 à 193 nm), d'autres solutions doivent être trouvées, car il n'y a pas de liquides homogènes avec indices de réfraction supérieurs à 1, 8 connus. Par conséquent, des recherches sont actuellement menées sur la troisième génération de liquides d'immersion, qui sont des suspensions de nanoparticules (par exemple à partir de particules sphériques de 5 nm constituées de LuAG ou d'oxyde de zirconium (IV) ) de matériaux hautement réfringents dans des liquides organiques. Dans l'ensemble, le gain en termes d'augmentation de l'ouverture numérique grâce aux liquides d'immersion à indice élevé (2e et 3e génération) est relativement faible et la recherche avait déjà pris du retard par rapport au calendrier réel de l'industrie en 2008. Leur utilisation industrielle est donc incertaine.

Problèmes lors de l'utilisation dans la production de masse

En général, une nouvelle technique de la technologie des semi-conducteurs n'est utilisée en production que lorsque la densité de défauts a atteint un niveau comparable à des techniques similaires, en l'occurrence la lithographie « sèche ». Par conséquent inclus des défauts et d' autres sources possibles pour une réduction de rendement ( anglais rendement ) de puces électroniques sur une plaquette comme les plus grands obstacles à l'utilisation de la lithographie par immersion dans la production de masse. Les premières études se sont concentrées sur l'élimination des bulles, les fluctuations de température et de pression dans le liquide d'immersion et l'absorption du liquide par la résine photosensible. Des défauts immanents dans la lithographie par immersion et la génération de particules à partir de l'unité de distribution d'eau (une source commune de défauts) ont été identifiés.

De plus, il a été démontré que l'eau des deux parties du photoinitiateur - une substance photoactive qui forme un photoacide lors de l'exposition ( générateurs de photoacide , PAG) - et le photoacide lui-même - généralement un acide carboxylique , ce qui augmente le taux de développement de 1 augmenté à 2 ordres de grandeur - extraits de la résine photosensible. Ce transfert des deux substances de la résine photosensible à l'eau du milieu d'immersion doit être évité car, d'une part, il faut éviter d'endommager la lentille de l'objectif par l'acide ou les impuretés et, d'autre part, la diffusion hors diffusion a un influence négative sur le développement de la résine photosensible.

Lorsqu'elle est exposée à un rayonnement UV à 193 nm, l'eau est ionisée. Au cours de cette ionisation, des électrons solvatés sont créés , qui se propagent à travers la résine photosensible, réagissent avec elle et influencent ainsi négativement la résolution.

Les préoccupations mentionnées ci-dessus concernant les défauts possibles ont conduit à des considérations concernant l'utilisation d'une couche de couverture directement sur la résine photosensible. Cette couche de couverture empêche la diffusion d'eau et de PAG entre le milieu liquide et la résine photosensible. De plus, l'interface entre le liquide et la couche supérieure a été optimisée en ce qui concerne la réduction des filigranes. Lors de l'utilisation d'une couche de couverture, une éventuelle génération de défauts par la couche de couverture doit bien sûr être exclue ou au moins cela doit être évité.

À des vitesses de numérisation des scanners modernes dans une production à grand volume d'environ 500 mm / s, le temps de contact réel entre la résine photosensible et l'eau dans la zone d'exposition est minime. Il en résulte les principaux problèmes suivants : d'une part, des défauts dus à des résidus d'eau (formation de filigranes) et, d'autre part, une perte d'adhérence photoréserve-eau (formation d'un entrefer). L'hydrophobie de la surface et le type d'alimentation en eau ou de drainage sont les aspects les plus importants qui doivent être pris en compte dans la mise en œuvre technique. Un autre domaine dans lequel des défauts peuvent apparaître de plus en plus est le bord de la plaquette, où l'eau fait un « demi-tour » (retour) et peut ramasser des défauts (particules) du bord de la plaquette et de l'arrière de la plaquette.

Utilisation actuelle et future

Effets de polarisation en lithographie par immersion. Pour les distances où la lithographie par immersion est pertinente, la polarisation de la lumière utilisée influence l'intensité au sein de la résine photosensible. Cet exemple est pour un demi-pas de 56 nm.

ASML (avec des optiques de Carl Zeiss SMT GmbH ), Nikon et Canon sont actuellement les seuls fournisseurs commerciaux de systèmes de lithographie par immersion pour la production. Depuis 2007, les systèmes sont utilisés par de plus grandes sociétés de semi-conducteurs dans la fabrication de produits de technologie 45 nm et inférieure, comme IBM , Globalfoundries (l'ancienne division de fabrication d'AMD), UMC , Toshiba et Texas Instruments . Les produits correspondants sont par exemple chez AMD Athlon II et Fusion . Intel , en revanche, n'a commencé à utiliser la lithographie par immersion pour ses produits en technologie 32 nm qu'en 2009, par exemple Intel Core i7 ( Westmere ). Même la production de produits de 22 nm et moins se fera très probablement encore en utilisant la lithographie par immersion pour les niveaux critiques au milieu de la ligne . Cependant, cela dépend de la rapidité avec laquelle des procédés alternatifs tels que l' EUV ou la lithographie par faisceau d'électrons se développent en termes de leur applicabilité industrielle ou de leur disponibilité.

Nous étudions actuellement les améliorations nécessaires pour que la technologie puisse également être utilisée après le nœud 32 nm. Cela inclut l'utilisation de matériaux avec un indice de réfraction plus élevé que les matériaux actuellement utilisés. Cela concerne d'une part la dernière lentille (lentille objective), dans laquelle l'utilisation de grenat de lutécium aluminium (LuAG, indice de réfraction de 2,14 à 193 nm) est envisagée à la place du dioxyde de silicium , et d'autre part le liquide d'immersion ( remplacement de l'eau) ainsi que la résine photosensible elle-même. La raison en est que l'indice de réfraction le plus faible de ces trois composants détermine en grande partie l'ouverture numérique du système d'imagerie. Avec des matériaux à indice de réfraction plus élevé, une ouverture numérique plus élevée et donc une meilleure résolution des petites structures peuvent être obtenues. Ces nouveaux développements permettent une résolution optique d'environ 30 nm. Il faut cependant s'attendre à ce que les résines photosensibles actuelles empêchent une mise à l'échelle ultérieure en dessous de 40 nm. D'autres problèmes sont les effets de polarisation , la biréfringence et la dispersion dépendante de la température dans le système optique.

D'autre part permettre à des techniques telles que le respect des règles de mise en page pour une meilleure fabricabilité (angl. Régularité mise en page ou la conception de fabricabilité , peu DFM) et double exposition - ou à double patterning méthode ( à double patterning) une réduction de la demi-pas la résolution par un facteur 2. Cela signifie que la lithographie par immersion pourrait également être utilisée au-delà de la technologie 32 nm, éventuellement jusqu'au nœud technologique 16 nm. Alors que le processus de double structuration améliore la résolution du pas, il appartient aux méthodes non lithographiques d'obtenir une réduction de la taille de la structure. Avec des tailles de structure aussi petites (inférieures à 32 nm), cependant, l'utilisation de méthodes de correction optique de proximité (OPC ) devient problématique . Parce que pour plus de structures supplémentaires pour améliorer la qualité de l'image, telles que les têtes de marteau (dt., Têtes de marteau '), il n'y a tout simplement pas assez d'espace sur le photomasque.

Littérature

  • Yayi Wei, Robert L. Brainard : Processus avancés pour la lithographie par immersion à 193 nm . SPIE Presse, 2009, ISBN 978-0-8194-7557-2 .
  • Burn J. Lin : Lithographie optique : voici pourquoi . SPIE Presse, 2009, ISBN 978-0-8194-7560-2 .

liens web

Preuve individuelle

  1. ^ Burn J. Lin: L'avenir de la lithographie optique sub-demi-micrométrique . Dans : Génie Microélectronique . ruban 6 , non. 1-4 , 1987, p. 31-51 , doi : 10.1016 / 0167-9317 (87) 90015-3 .
  2. ^ A b Burn J. Lin : Lithographie optique : Voici pourquoi . SPIE Press, 2009, ISBN 978-0-8194-7560-2 , p. 325 .
  3. a b c d e f Daniel P. Sanders: Advances in patterning Matériaux pour 193 nm Immersion lithographie . Dans : Examens chimiques . ruban 110 , non. 1 , 2010, p. 321-360 , doi : 10.1021 / cr900244n .
  4. Yayi Wei, David Retour: la lithographie par immersion 193nm: Statut et défis. Dans : Salle de presse SPIE. 22 mars 2007, consulté le 22 janvier 2012 ( doi : 10.1117 / 2.1200703.0001 ).
  5. Yayi Wei, Robert L. Brainard : Processus avancés pour la lithographie par immersion à 193 nm . SPIE Press, 2009, ISBN 978-0-8194-7557-2 , p. 7 .
  6. Kazuhiro Hirano, Yuichi Shibazaki, Masato Hamatani, Jun Ishikawa, Yasuhiro Iriuchijima : Derniers résultats du scanner à immersion à double motif Nikon NSR-S620 . Taipei, Taïwan 2009, p. 75200Z-75200Z-12 , doi : 10.1117 / 12.837037 (Contient quelques graphiques intéressants sur la structure de base de tels systèmes de lithographie).
  7. TwinScan NXT: 1950i. ASML, consulté le 5 septembre 2011 (page produit).
  8. Mark LaPedus : Dans la stratégie litho d'Intel en 22 nm. EE Times , 18 mars 2010, consulté le 5 septembre 2011 .
  9. https://www.wisag.ch/quarzglas-quarzgut/heraeus-quarzglas-fuer-die-optik site internet de la société Heraeus
  10. Axel Engel, Konrad Knapp, Lutz Aschke, Ewald Moersen, Wolfgang Triebel : Développement et étude de CaF2 de haute qualité utilisé pour la microlithographie 157 nm . Société internationale d'optique et de photonique, 26 avril 2001, p. 298-304 , doi : 10.1117 / 12.425218 ( spiedigitallibrary.org [consulté le 27 juillet 2017]).
  11. a b c M. Switkes, M. Rothschild : Lithographie par immersion à 157 nm . Dans : Journal of Vacuum Science & Technology B : Microélectronique et structures nanométriques . ruban 19 , non. 6 , 2001, p. 2353 , doi : 10.1116 / 1.1412895 .
  12. ^ Burn J. Lin : Lithographie optique : voici pourquoi . SPIE Society of Photo-Optical Instrumentation Engineering, 2009, ISBN 0-8194-7560-2 , pp. 369 .
  13. ^ Burn J. Lin : Lithographie optique : voici pourquoi . SPIE Press, 2009, ISBN 978-0-8194-7560-2 (Chapitre 4 : Les métriques de la lithographie).
  14. ^ Burn J. Lin : Lithographie optique : voici pourquoi . SPIE Presse, 2009, ISBN 978-0-8194-7560-2 .
  15. Yayi Wei, Robert L. Brainard : Processus avancés pour la lithographie par immersion à 193 nm . SPIE Presse, 2009, ISBN 978-0-8194-7557-2 .
  16. S. Jahromi, L. Bremer, R. Tuinier S. Liebregts: Development of Third Generation Immersion Fluids Based on Dispersion of Nanoparticles ( Memento de l' original du 23 janvier 2015 dans Internet Archive ) Info: Le lien d' @1@ 2Modèle : Webachiv / IABot / www.sematech.org archive a été inséré automatiquement et pas encore vérifié. Veuillez vérifier le lien d'origine et d'archive conformément aux instructions , puis supprimez cet avis. . In : 5ème Symposium international sur les extensions de lithographie par immersion. 22-25 Septembre 2009.
  17. Soichi Owa, Toshikazu Umatate : Progrès et plans de la lithographie à index élevé (HIL) ( Memento de l' original du 28 janvier 2016 dans Internet Archive ) Info : Le lien d' @1@ 2Modèle : Webachiv / IABot / www.sematech.org archive a été inséré automatiquement et n'a pas encore été vérifié. Veuillez vérifier le lien d'origine et d'archive conformément aux instructions , puis supprimez cet avis. . 2008 SEMATECH Litho Forum, Bolton Landing, 14 mai 2008.
  18. M. Switkes, RR Kunz, M. Rothschild, RF Sinta, M. Yeung, S.-Y. Baek : Extension de l'optique à 50 nm et au-delà avec la lithographie par immersion . Dans : Journal of Vacuum Science & Technology B : Microélectronique et structures nanométriques . ruban 21 , non. 6 , 2003, p. 2794 , doi : 10.1116 / 1.1624257 .
  19. U. Okoroanyanwu, J. Kye, N. Yamamoto, K. Cummings : Défectivité dans la lithographie par immersion dans l'eau . Dans : Le monde de la microlithographie . ruban 14 , non. 4 , 2005, p. 4-7 .
  20. J. Christopher Taylor, Charles R. Chambers, Ryan Deschner, Robert J. LeSuer, Willard E. Conley, Sean D. Burns, CG Willson: Implications of immersion lithography on 193-nm photoresists . Santa Clara, Californie, États-Unis 2004, p. 34-43 , doi : 10.1117 / 12.535875 .
  21. Akyhiro Iwata, Nobuaki Nakashima, Yasukazu Izawa, Chiyoe Yamanaka : Ionisation à un photon de l'eau liquide lors d'une irradiation laser à 193 nm . Dans : Lettres de chimie . ruban 22 , non. 11 , 1993, p. 1939-1940 , doi : 10.1246 / cl.1993.1939 .
  22. lithographiques Systems. Optique pour la lithographie par immersion 193 nm. Carl Zeiss SMT GmbH, consulté le 22 janvier 2012 .
  23. Mark Bohr: Intel 32nm Technology . Dans : Logic Technology Development, 10 février 2009 . ( PDF - diapositives de présentation).
  24. Aaron Hand : DFM, les restrictions de conception permettent la double structuration. Dans : Semiconductor International. 12 janvier 2007, archivé à partir de l' original le 5 février 2008 ; Consulté le 22 janvier 2012 .
  25. Mark LaPedus : SPIE : Intel va étendre l'immersion à 11 nm . EETimes, 23 février 2010.
  26. Mark LaPedus : IBM voit l'immersion à 22 nm, repousse l'EUV . EETimes, 22 février 2010.
  27. David Lammers : Intel : Les faits EUV ne s'additionnent pas pour 22 nm en 2011. Dans : Semiconductor International. 22 février 2008, archivé de l' original le 26 avril 2008 ; Consulté le 22 janvier 2012 .
  28. U. Okoroanyanwu, JH Lammers: Résister à la route à la technologie 22nm noeud . Dans : Future Fab International . ruban 17 , 2004, p. 17 .
  29. Christian Wagner : Technologie avancée pour l'extension de la lithographie optique . Dans : Actes de SPIE . Santa Clara, Californie, États-Unis 2000, p. 344-357 , doi : 10.1117 / 12.389046 .